Ana səhifə

Ece 590 – digital system design using hardware description languages spring 2006 Homework-2 Look-up Table for Robot Movement by Mathias Sunardi Concept


Yüklə 215.5 Kb.
tarix25.06.2016
ölçüsü215.5 Kb.
ECE 590 – DIGITAL SYSTEM DESIGN USING HARDWARE DESCRIPTION LANGUAGES
Spring 2006

Homework-2
Look-up Table for Robot Movement

by

Mathias Sunardi

Concept:

The Look-up Table (LUT) is a common concept used to reduce processing time for applications that uses complex calculations. Basically, the LUT contains data or results from the complex calculations needed by application, which was done beforehand—once. By keeping the results in the LUT, when the application needs the values, instead of having to do the calculations, it can just refer to the LUT and retrieve the values from it; bypassing the calculations. In complex applications such as signal processing, image processing, device modeling, etc., complex calculations are used repeatedly and using the LUT help tremendously by significantly reducing the processing time.


A simple analogy: when we were in elementary school, we used to memorize multiplications of small numbers. In time, for example, we can say that 4 x 4 is 16, without having to calculate 4+4+4+4 (of course by first learning that to count 4 x 4 is by adding 4 four times). Saves a lot of time, doesn’t it?

Application:

Currently, the LUT is intended to be used in a robotic movement control system. Again, the objective here is time; in this case, increasing the robot’s response speed.


To illustrate, consider the following scenario. A RoboSoccer robot is able to determine a strategy among several strategies given a condition in the field; such as: enemy position, its current position, the goal position, the ball position and trajectory (if it is moving), etc. Once it evaluates the field condition, then the robot needs to select which is the best strategy to reach its objective (i.e. reach the ball in the most efficient path while avoiding the opponent), then it will formulate its path, do the calculations and sequence of movements, then execute it.

Figure 1. RoboSoccer. (Blue: friendly robot, red: opponent, orange: ball, blue-dotted line: direct line to ball-blocked by opponent)



Figure 2. RoboSoccer robot’s flowchart


The flowchart in figure 2 tries to illustrate the sequence of actions described earlier for the robot. This is only one variant of algorithms, and strictly out of the author’s imagination solely for the purpose of explaining the application; not to be taken as the only or best algorithm. Also, keep in mind that the RoboSoccer application is just an example for illustration. The LUT can be used for other robotic applications; RoboSoccer might not even be the best application for it.
Now let’s see where the LUT can be placed in the flowchart and see if it makes any improvements.

Figure 3. Flowchart with Look-up Table


First, let’s explain the two paths with numbers 1 and 2. Path 1 is taken if the robot is not selecting any strategy (or if it assumes that it can use the same strategy as last time given the same set of inputs); so it goes directly translating the inputs to LUT addresses, and accessing the LUT with that address. Path 2 is taken if it is selecting a strategy. The different paths certainly will affect what addressing scheme we’re using for the LUT, which will be discussed shortly.
If we evaluate the last flowchart, if path 1 is taken, the Select-a-strategy process is bypassed. If path 2 is taken, it does not formulate the path and calculate the movements anymore, instead it will take the movement sequences directly from the LUT (by first translating the inputs into the address that contains the first sequence in the LUT). Then the movement can be instantly executed. Either way, so the next time the robot faces such situation, it can quickly react to it.

The Look-Up Table (LUT)

The design in this homework 2 is as follows:


Figure 4. LUT Block Diagram


Inputs

The inputs can be readings from sensors, or user-defined parameters/commands.


Control Unit

The control unit consists of:



  • An input interpreter, which will translate the inputs into the respective address for the first movement sequence in the LUT. Currently, the inputs are directly used as the starting address for each set of sequences, with some additional bits for the number of sequences.

  • A counter initiator, which will initiate and set the value for the counter. The value corresponds to the number of sequences. Currently, the allowable maximum number of sequence is fixed at 16 sequences (adds 4 zero bits at the end of the input values to create the address. For example: if the input value is 1101, then the starting address will be 11010000, so the sequence is located in address 11010000 – 11011111). This static number of movement sequence is somewhat very limiting; therefore a better method to manage the sequence is necessary. Another way to consider is by having an end word at the end of the sequence, so the movement executor can continuously loop until it hits the end word which signals the end of the movement sequence. As you can see, it is very important to design a good addressing scheme to make the LUT works effectively.


LUT

Is the look-up table; containing the parameter (rotation, translation, etc.) values for the movement of each joint.


Counter

It is used to help the (movement) executor to go through all the movement sequences.


(Movement) Executor

The “movement” is in parentheses because it doesn’t necessarily have to be about movement, it could be some other kinds of functions.

The Executor basically will take the values from the LUT, and then output those values to the actuators. Once the actuators have fulfilled the assigned value (meaning it has completed the first movement sequence), the counter counts (either increment or decrement, depending on the design) and the values from the next address in the LUT is sent to the executor, and the whole process repeats all over again until the end of the sequence is reached.


Address

Joint 1

Joint 2

Joint 3



Joint n

00000000

120

200

200



123

00000001

150

180

180



123

00000010

180

150

150



123













11001111

10

10

20



100

Figure 5. LUT Example.


In the above example of the LUT, the properties are:

  • the address is 8 bits

  • there are n number of joints

  • assume the values in the Joint columns are integer, representing some servo position

For example, if the inputs are 0000, then the Input Interpreter will convert that value (and adding the 4 extra zero bits) so the movement starts at address 00000000 in the LUT. The values in that address are then inputted to the Movement Executor. In the first sequence joint 1 must go to position 120, joint 2 to position 200, joint 3 to position 200 (if they’re not already there), and so on. At the same time, the Counter Initiator initiates the Counter by inputting an initial value as the number of iteration/sequences and activates it. After all the values of the first sequence for the joints are satisfied (all the joints are in position), then the Counter will increment (note that this is an asynchronous counter), grabs the values in the next address which is 00000001, and put them in the Movement Executor where joint 1 must go to position 150, joint 2 to position 180, and so on. After the second sequence is finished, then read the next address, and so on.

The last row, if evaluated, means the inputs are 1100, and it has 16 sequence of movements, which that row is the last of the sequence.

The Addressing Scheme

As discussed several times above, the careful design of addressing scheme for this LUT is very important; perhaps it is the bulk of the problem in this design. Consider this: the main purpose of this LUT is to speed up the processing time (or response time) of the robot. So we need some way that can generate the appropriate movements for a set of inputs which is faster than the mundane way of calculating all inputs (including some additional inputs such as current joint location, etc.). Therefore we need a simple way to decipher the inputs into movements; in this case the address in the LUT which contains the first sequence of the desired set of movements.


In this assignment, the inputs (4 bits) are directly used as the starting address. Some additional bits (4 bits) are added at the end of the word to allow simple incremental counting (using the counter) to get to the next sequence (16 sequences max.). So the LUT address looks like figure 6.
MSB LSB

Input values

Sequence #

(4 bits) (4 bits)
Figure 6. Addressing Scheme (for this project)
This is done by concatenating the input bits with 4 zero bits.
address <= sensor&"0000";
Where:

  • sensor is the input value.

  • address is the LUT address (sequence starting address)

Another addressing scheme considered was by having a completely coded input-address relationship; where the address is a function of the input values, but not a direct mapping like the above method. This may enable a much more memory-efficient design; for example, the number of address bits can be less than the input bits and sequence bits combined. This is good when the number of movements stored in the LUT is far less than all the possible combinations of the inputs. However, this will require additional processing time to decode the address, and perhaps creating either an additional combinational circuit, or another LUT. This design will be explored in more depth in future projects.




The Data

For the purpose of this project, the robot is assumed to be some kind of a Braitenberg vehicle; that is it only has two actuators, one for each wheel.


Figure 7. A Simple Braitenberg Vehicle Robot


The data stored in each row of the LUT will have two columns, each column for each wheel/actuator 4 bits wide (assuming 4 bits is enough to control each of them, i.e. speed). So the actual LUT will look something like the Figure 8.


Address

Wheel 1

Wheel 2

00000000

1111

1111

00000001

1100

1110

00000010

0000

1110






Figure 8. The used LUT.


The LUT in VHDL

To create the LUT (memory), an array of 256 elements (since we have 8 address bits) of 8 bit vector is used. However, addressing each array element is done using integer values. Since the inputs and the translated address are binary, therefore a binary-to-vector converter is needed. Below are just snippets of some of the actual codes. For the complete code, refer to Appendix A.


package memory2 is -- memory module for LUT

type t_mem_data is array (0 to 255) of std_logic_vector(7 downto 0);

end memory2;
-- binary-to-integer conversion function

function binary2integer(alpha: std_logic_vector) return integer is

variable result: integer:=0;

variable b: integer:=1;

begin

for n in 0 to alpha'length-1 loop -- n=number of bits



if(alpha(n)='1') then

result:=result+b;

end if;

b:=b*2;


end loop;

return result;

end binary2integer;
A careful observation should be done when doing the conversion. Note the for and if syntax:

for n in 0 to alpha'length-1 loop

if(alpha(n)='1') then
alpha is the vector to be converted. There are several things to pay attention to:


  • The n (data type: index) value is re-used to select which bit in the vector alpha.

  • Remember that the index number for vector bits starts from 0 not 1

  • The ‘length syntax returns the total number of bits in the vector. So for 4 bit vector, the alpha’length will return value 4, while the index range is 0-3. Therefore it’s necessary to subtract 1 from the ‘length syntax to get the correct indexing for the vector alpha (the alpha(n) syntax).

  • The range definition depends on the declaration of the vector’s most significant bit (MSB)/least significant bit (LSB). For example, if the vector is declared as:

sensor : in std_logic_vector(3 downto 0);

then index 3 is the MSB, and index 0 is the LSB. While:
sensor : in std_logic_vector(0 to 3);

The index 0 is the MSB and index 3 is the LSB. Therefore, we must be careful when doing bit manipulation such as this conversion function.


Currently, the number of sequence for each movement is assigned using the case-when syntax (which is essentially a form of LUT itself!).
The process for the LUT goes as follows:

  1. The Control Unit receives input from sensor readings, or from user.

  2. The Input Interpreter inside the CU will convert the received input values to the corresponding LUT address by concatenating the input bits with 4 extra bits for the sequences.

  3. The Input Interpreter also translates the input into the initial value for the Counter, which is the number of sequences in the Counter Initiator.

  4. The values in the address in the LUT are then sent to the (Movement) Executor to be executed.

  5. The output values are: the first 4 bits is to output 1, the second 4 bits is to output 2.

  6. The Counter is initialized with the value from the Counter Initiator

  7. Once a sequence is completed by the (Movement) Executor, the values from the next address in the LUT is read and executed.

  8. The process goes back to step 4.

  9. The Counter decrements its value each time a sequence is completed until it reaches zero.

  10. Once the Counter reaches zero, it signals the end of the movement.

Figure 9. The LUT Flowchart.

There is a deliberate reason that while is used instead of for. Apparently the index range for the for syntax is local; meaning it does not need to be declared outside the syntax as it can be declared within the for syntax, and the index data type is index. Therefore, it is not possible to use variable or signal values as the range values. The while syntax allows the use of variable or signal values as the range because it uses conditions as its range evaluator instead of index. The LUT algorithm requires that the loop range be a variable since the value corresponds to the number of sequences, which likely to be varied. Therefore, while is used instead of for.
In this homework, the data in the LUT are manually entered and of random values. For future work, the data should be dynamic such that it can be created by a function, and that function should relate to robot movement. This might require a sine/cosine function which then another LUT could be used to calculate them because VHDL does not support native sine/cosine syntax.

Results

The synthesis results in several warnings but can be ignored. The warnings are:



WARNING:Xst:646 - Signal is assigned but never used.

WARNING:Xst:737 - Found 4-bit latch for signal .

WARNING:Xst:737 - Found 4-bit latch for signal .
These happen because no input is defined in the module, but given in the testbench. The same goes for the output1 and output2, where the values will come up after the module gets some inputs.

Figure 10a. Simulation Result (input-output data)


Figure 10b. Simulation Result (objects values)


The LUT operates as planned where the system is given a certain input, it will go to a certain address (which is converted from vector to integer since the LUT was made using an array with integer index as seen as address and t_addr respectively in figure 10b), iterates through the number of sequences (represented by the signal count_val in figure 10b), and returns the value in each address.

The only issue is that the simulation didn’t show the value in each address during the iteration, instead it only shows the value in the last address. For example:



  • sensor value = 1010

  • count_val (number of iteration/sequence) = 5

  • starting address (vector) = 10100000

  • starting address (integer) = 160

  • address range : 160, 161, 162, 163, 164

  • address values:

Address

Value

Output1

Output2

160

1111

1111

161

1111

1111

162

1110

0000

163

0000

0000

164

1111

1111

The simulation went through sensor value: 1111, 0001, and 1010.

This problem should be resolved in future work.
Conclusions

Like all other things, the LUT has its issues and limitations. First, depending on the amount, type of movements, and addressing scheme, it requires quite some amount of memory space. Imagine the robot is a robotic arm and it has 6 joints, each joint has 1 degree of freedom (DOF) and its value range 0-255 (8 bits), thus if we put the position of all joints in every row of the LUT, we have 48 bits (6 bytes) of data in every row. Now imagine if we have a humanoid robot, with, let’s say, 20 DOF. See how the memory width (number of bits) can increase rapidly?


Next, imagine that we simplify the LUT address such that each address bit represents a sensor reading. If we have 4 touch sensors, we have 4 bits of LUT address, with some extra bits for the sequences. So, if we have 8 sequences of movement, we need 3 more bits, making the address to be 7 bits each; which requires the memory to be at least 128 rows long or 128 x 6 bytes = 768 bytes. Now imagine that we have 4 sensors that each has value range of 0-255 (8 bits). Now we need 4x8bits=32 bit address, which is about 4 Gigs of memory!
This is one major issue for LUT for robotics use; it requires a lot of memory space. However, currently large-sized memories are readily available with affordable price, and the capacity should double every two years, and memory prices drop a faster rate, hopefully this will not be such an issue in the future.
Note that the above issue assumes a limited number of movement sequences (i.e. 8 sequences), and it is possible that there are a lot of redundant values, and/or empty spaces (i.e. movements that has less than 8 sequences). Another way that could be explored is by having multiple levels of LUT. For example, we have a LUT containing some set of predefined joint/limb positions. On a higher level we have another LUT, containing the sequence of movements, but instead of storing the positions of each joint, it contains the list of addresses for the LUT that contains the predefined positions. This way it can avoid using one huge block of memory by splitting it into smaller blocks (although it can still physically be in one memory module).
This is a bit of a trade-off, to enable faster response at the expense of larger memory space. But generally, by putting the movement in the LUT, the response time of the robot is most likely to be much faster than having to calculate each movement every time.

Future Work

For future work, a function could be added to generate the movements automatically, and save it in the LUT. This would require some kind of fitness function evaluation to make sure that the movement that is saved in the LUT given a certain input values is the best one.


As mentioned earlier, such movement function might require some sine/cosine function. Since VHDL doesn’t have a built-in syntax to calculate sine/cosine (at least not the in version used to do this assignment), it is possible to create a separate LUT for each of those functions which then can be used to do the movement functions.
Another thing that could be added to this LUT method is a strategy/behavior selection mechanism, which may include artificial intelligence, or genetic algorithm, or some selection scheme.
There is also the possibility to explore different addressing scheme for the LUT. The nature of the LUT addressing scheme makes it similar to those of caches, and in the interrupt mechanisms in some microprocessors.
Other considerations:

Is it possible to override the current running sequence if there’s a change in the input? Maybe if it can detect an abrupt change, then it can decide whether or not to override. The design in this assignment seems to allow the iteration to be inhibited if given a different sensor values while it is still running. This can also be explored further in future works.




Appendix A
VHDL Code
library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;


entity table_guy is

Port ( sensor : in std_logic_vector(3 downto 0);

--addr : in integer range 0 to 255;

--clk, reset: in std_logic;

--t_addr : out integer range 0 to 255;

output1 : out std_logic_vector(3 downto 0);

output2 : out std_logic_vector(3 downto 0));

end table_guy;


architecture Behavioral of table_guy is

type t_mem_data is array (0 to 255) of std_logic_vector(7 downto 0);

constant data:t_mem_data:= (

"11111110","10000000","01111111","10000000","11111111","10000000","11111111","10000000",

"11111110","01100000","01111111","01100000","11111111","01100000","11111111","01100000",

"01111111","00100000","11111110","01000000","11111111","00100000","11111111","00100000",

"01111110","00100000","11111110","01000000","11111111","00100000","11111111","00100000",

"00111111","10010001","11111100","10000000","11111111","00100000","11111111","00100000",

"00111111","10010001","11111100","10000000","11111111","00100000","11111111","00100000",

"00011111","11001011","11111001","00000000","11111111","11111111","11111111","00100000",

"00011111","11001011","11111001","00000000","11111111","11111111","11111111","00100000",

"00001111","11100111","11110010","00000000","11111111","11111111","11111111","00100000",

"00001111","11100111","11110010","00000000","11111111","11111111","11111111","00100000",

"00000111","11111111","11100100","00000000","11111111","00000000","11111111","00100000",

"00000111","11111111","11100100","00000000","11111111","00111111","11111111","00100000",

"00000011","11111111","11001000","00000000","11111111","00100000","11111111","00100000",

"00000011","11111111","11001000","00000000","11111111","00100000","11111111","00100000",

"00000001","11111111","10010000","00000000","11111111","00100000","11111111","00100000",

"00000000","11111111","00100000","00000000","11111111","00100000","11111111","00100000",
"11111111","11111100","00000000","00000000","11111111","00000000","00000000","00000000",

"11111111","11111110","11000000","00000000","11111111","10000000","00000000","00000000",

"11111111","11111111","11100000","00000000","11111111","01000000","00000000","00000000",

"11111111","11111111","11110000","00000000","11111111","00100000","00000000","00000000",

"11111111","00000000","11111100","00000000","11111111","00100000","00000000","00000000",

"11111111","00111111","11111111","00000000","11111111","00100000","00000000","00000000",

"11111111","00100000","00111111","10000000","11111111","00100000","00000000","00000000",

"11111111","00100000","00111111","01000000","11111111","00100000","00000000","00000000",

"11111111","00100000","00111111","01000000","11111111","00100000","00000000","00000000",

"11111111","00100000","00111111","01000000","11111111","00100000","00000000","00000000",

"11111111","00100000","00111111","01000000","11111111","00100000","00000000","00000000",

"11111111","00100000","11111111","10000000","11111111","00100000","00000000","00000000",

"11111111","11111111","11111101","00000000","11111111","11111111","11111111","00000000",

"11111111","11111111","11110010","00000000","11111111","11111111","11111111","10000000",

"11111111","11111110","11100100","00000000","11111111","11111111","11111111","00100000",

"11111111","11111100","11001000","00000000","11111111","11111111","11111111","00100000");

-- this is sample data

signal count_val: integer range 0 to 16

signal address: std_logic_vector(7 downto 0);

signal t_addr: integer range 0 to 255;


-- integer to vector conversion function

function integer2vector(value:integer) return std_logic_vector is

variable vector: std_logic_vector(7 downto 0);

variable q:integer;

begin

q:=value;



for i in 7 downto 0 loop

if((q mod 2)=1) then

vector(i):='1';

else


vector(i):='0';

end if;


q:=q/2;

end loop;

return vector;

end integer2vector;


-- vector/binary to integer function

function binary2integer(alpha: std_logic_vector) return integer is

variable result: integer:=0;

alias av: std_logic_vector(alpha'length downto 1) is alpha;

variable b: integer:=1;

--variable idx: integer;

begin

for n in 1 to alpha'length loop



--idx:=n-1;

if(av(N)='1') then

result:=result+b;

else


result:=result;

end if;


b:=b*2;

end loop;

return result;

end binary2integer;

begin

control:process (sensor)



begin

case (sensor) is

when "0001" => count_val <= 16; -- can only have max 16 steps?

when "0010" => count_val <= 12;

when "0011" => count_val <= 7;

when "0100" => count_val <= 10;

when "0101" => count_val <= 16;

when "0110" => count_val <= 12;

when "0111" => count_val <= 13;

when "1000" => count_val <= 9;

when "1001" => count_val <= 4;

when "1010" => count_val <= 5;

when "1011" => count_val <= 10;

when "1100" => count_val <= 12;

when "1101" => count_val <= 8;

when "1110" => count_val <= 16;

when "1111" => count_val <= 12;

when others => count_val <= 0;

end case;

end process control;


executor: process(sensor, address, count_val)

variable t_address:integer range 0 to 256;

variable t_data: std_logic_vector(7 downto 0);

variable k,count: integer range 1 to 17;

begin

address <= sensor&"0000"; -- meaning, it always starts at address xxxx0000 (modulo 16), -- where the last four "0"s is used to count the sequence...



t_addr<=binary2integer(address);

t_address:=t_addr;

if (sensor="0000") then

output1<="0000";

output2<="0000";

else


k:=1;

while(k<=count_val) loop -- iterate through the sequences

t_data:=data(t_address);

output1 <= t_data(7 downto 4);

output2 <= t_data(3 downto 0);

t_address:=t_address+1; -- next address

k:=k+1;

end loop;



end if;

end process executor;


end Behavioral;



Appendix B
Testbench Code
LIBRARY ieee;

USE ieee.std_logic_1164.ALL;

USE ieee.std_logic_unsigned.all;

USE ieee.numeric_std.ALL;


ENTITY lut_tb_vhd IS

END lut_tb_vhd;


ARCHITECTURE behavior OF lut_tb_vhd IS
-- Component Declaration for the Unit Under Test (UUT)

COMPONENT table_guy

PORT(

sensor : IN std_logic_vector(3 downto 0);



output1 : OUT std_logic_vector(3 downto 0);

output2 : OUT std_logic_vector(3 downto 0)

);

END COMPONENT;


--Inputs

SIGNAL sensor : std_logic_vector(3 downto 0) := (others=>'0');


--Outputs

SIGNAL output1 : std_logic_vector(3 downto 0);

SIGNAL output2 : std_logic_vector(3 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)

uut: table_guy PORT MAP(

sensor => sensor,

output1 => output1,

output2 => output2

);
tb : PROCESS

constant interval: time:=300 ns;

BEGIN
-- Wait 100 ns for global reset to finish

wait for 100 ns;

-- Place stimulus here

sensor <= "1111";

wait for interval;

sensor <= "0001";

wait for interval;

sensor <= "1010";

--wait; -- will wait forever



END PROCESS;
END;


Verilənlər bazası müəlliflik hüququ ilə müdafiə olunur ©atelim.com 2016
rəhbərliyinə müraciət